First glance at Globalfoundries’ 7nm

Article By : Rick Merritt

The process is geared for the same kinds of premium cloud and smartphone processors as today’s 14/16nm nodes.

« Previously: FinFETs, FD-SOI expand at Globalfoundries
 

Globalfoundries’ 7nm node represents a full 30% die shrink from its 14nm process, according to CTO Gary Patton. It could pack 17 million gates in a square millimetre of silicon, chief executive Sanjay Jha added.

The process is geared for the same kinds of premium cloud and smartphone processors as today’s 14/16nm nodes. An ARM Cortex-A72 core could run at more than 3.5GHz in the process, the company estimates.

The process supports 17 layers of metal and could require 80-84 masks steps to handle up to quad patterning on some critical layers. The biggest challenge with the process today is in parasitics and yields for the middle metal layers connecting transistors to chip wiring.

“That’s the Waterloo for the industry,” said Jha.

Patton was coy on channel materials used at 7nm, an area where some researchers say III-V compounds such as silicon germanium may be needed to speed signals. “Innovation in materials is clearly essential, everyone is going to cobalt and we have other innovations that I’m going to keep a secret,” he said.

The Albany Nanotech Research Centre pioneered work on the process using EUV steppers. Globalfoundries “ran wafers back and forth” between Albany and its Fab 8 in Malta, N.Y., that uses optical steppers, said Patton.

Prototype chips running in the company’s Malta fab now have 7nm blocks on them with general availability of the process expected before the end of 2018. Meanwhile, the Albany centre is now seeking a path to 5nm with EUV, he said.

Between Albany and Malta, the company will have a total of four EUV steppers installed sometime next year. “We believe it’s a mistake to put EUV in the critical path for 7nm, but we are enabling a migration path” for 7nm with EUV, he said.

Globalfoundries will make a “multi-billion dollar investment in its Fab 8” to bring up the 7nm process, it said in a press statement.

[Globalfoundries 14nm defect density]
__Figure 1:__ *Defect densities at 14nm are below 0.8 parts per million, Patton said.*

22nm node first to use Everspin's memory

Globalfoundries picked Everspin’s MRAM as a novel embedded memory, initially for replacing embedded flash in microcontrollers made in its 22nm FD-SOI process. It could also support fast buffers for computer vision chips and eventually be used to replace SRAM in an L3 cache for processors made in its 14nm node.

The density of the embedded offering will vary depending on chip designs, said Gregg Bartlett, head of CMOS products for Globalfoundries. The eMRAM cells sport write speeds “in nanoseconds not microseconds,” he said.

The cells require just three masks compared to as many as 13 for embedded flash, enabling reduced space and power consumption. They also sport a thousand-fold improvement in endurance compared to flash, he added.

Globalfoundries has been making 256Mbit MRAM chips for Everspin in its 300mm Singapore fab for some time. The company holds significant shares in Everspin which is preparing for a public offering, in part to fund design of a Gbit chip.

The 22nm FD-SOI process that eMRAM will first be used in currently has 50 customers. First FD-SOI prototypes will move through the fab within a month, said Bartlett.

Jha said Globalfoundries is in no rush to license its unique FD-SOI technology, although one analyst said it could grow the market for FD-SOI with help from Samsung or China fabs.

First products in the 22nm variant won’t ship until early next year and a recently announced 12nm follow-on won’t be ready until 2019. “We are making a market and we want to benefit from that first of all—we are open to [licensing] but we have to make money first,” Jha said.

The company currently has 30 customers with 18 designs running in its Fab 8 on the 14nm FinFET process. They include processors from AMD, IBM’s Power 9 and several ASICs. All are using its second-generation LPP process; only one customer used the early-to-market LPE variant.

Globalfoundries is working on five variations of the FinFET process. They target areas such as delivering highest density, lowest power, high voltage support or automotive requirements.

“We have delivered two 14nm processes and probably will deliver two to four more, but our intention is to not to change the IP but tighten process corners and reduce defects per million,” said Jha.

Globalfoundries made no mention of plans for its 28nm planar process although 28nm is expected to dominate foundry sales for many years. Nor did it describe any new plans for 2.5D or 3D packaging, an area where TSMC has made significant inroads with products such as Nvidia’s Pascal GPU.

The company is ready to support 2.5D and 3D with its 14nm and 7nm nodes, Bartlett said. Before the merger, IBM took an early lead in the area working with Micron on its Hybrid Memory Cube, Patton added.

 
« Previously: FinFETs, FD-SOI expand at Globalfoundries

Leave a comment